Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Add support for Hierarchical RTL Macro Placer #537

Draft
wants to merge 13 commits into
base: dev
Choose a base branch
from
Draft

Conversation

donn
Copy link
Member

@donn donn commented Aug 26, 2024

  • OpenROAD.*

    • Added log_cmd from OpenROAD-flow-scripts -- neat idea for consistency
    • Internal: Steps now sensitive to _OPENROAD_GUI environment variable -- coupled with --only, it runs a step in OpenROAD then doesn't quit so you may inspect the result.
      • This is not part of the OpenLane stable API and may be broken at any moment.
  • OpenROAD.CutRows

    • Rows that generate less than a specified number of sites are now removed to help with PDN generation (default: 25)
  • Created OpenROAD.HierarchicalMacroPlacer

    • Based on OpenROAD mpl2-- a macro placer that uses hierarchy information from the RTL to generate a macro placement automatically
    • Used for macros for which a manual placement has not been provided
  • Created OpenROAD.UnplaceAll

    • Removes placement status of all instances.
  • Yosys.Synthesis

    • SYNTH_NO_FLAT replaced with SYNTH_HIERARCHY_MODE with three options: flatten (previously false), deferred_flatten (previously true) and keep (new value). When set to keep, the netlist generated is hierarchical.

Flows

  • Classic
    • Added OpenROAD.HierarchicalMacroPlacer after Odb.ManualMacroPlacement
    • Moved pin placement flow before macro placement as mpl2 requires pin placement information
    • Added OpenROAD.UnplaceAll after pin placement so rows can be cut for macros without worries about already-placed instances

Tool Updates

  • openroad -> 49a497a
  • Enhanced overlay for or-tools to fix a bug where trying to build OpenROAD from a git repo (using nix develop .#openroad) would Cmake always fail after the first cmake ..

Depends on #534
Resolves #506

donn added 11 commits August 21, 2024 19:01
* `Yosys.JsonHeader`, `Yosys.*Synthesis`
  * **Internal**:
    * Steps are no longer `TclStep`s: rewritten in Python and now use `libyosys`. While there are no functional changes, this enhances the codebase's consistency and helps avoid tokenization-related security issues.

* `Yosys.*Synthesis`
  * ABC scripts used now created dynamically and dumped as a `.abc` file into the step directory.

* Updated `nix-eda`
  * `yosys` -> `0.44` (+ `-y` patch)
  * `klayout` -> `0.29.4`
  * OpenROAD now used with new `withPythonPackages` features to use Python packages specifically for the OpenROAD environment
* `OpenROAD.*`
  * Added `log_cmd` from OpenROAD-flow-scripts -- neat idea for consistency
  * **Internal**: Steps now sensitive to `_OPENROAD_GUI` environment variable -- coupled with `--only`, it runs a step in OpenROAD then doesn't quit so you may inspect the result.
    * This is not part of the OpenLane stable API and may be broken at any moment.

* `OpenROAD.CutRows`
  * Rows that generate less than a specified number of sites are now removed to help with PDN generation (default: 25)

* Created `OpenROAD.HierarchicalMacroPlacer`
  * Based on OpenROAD `mpl2`-- a macro placer that uses hierarchy information from the RTL to generate a macro placement automatically
  * Used for macros for which a manual placement has not been provided

* Created `OpenROAD.UnplaceAll`
  * Removes placement status of all instances.

* `Yosys.Synthesis`
  * `SYNTH_NO_FLAT` replaced with `SYNTH_HIERARCHY_MODE` with three options: `flatten` (previously `false`), `deferred_flatten` (previously `true`) and `keep` (new value). When set to `keep`, the netlist generated is hierarchical.

## Flows
* Classic
  * Added `OpenROAD.HierarchicalMacroPlacer` after `Odb.ManualMacroPlacement`
  * Moved pin placement flow before macro placement as `mpl2` requires pin placement information
  * Added `OpenROAD.UnplaceAll` after pin placement so rows can be cut for macros without worries about already-placed instances

## Tool Updates
* `openroad` -> `49a497a`
* Enhanced overlay for `or-tools` to fix a bug where trying to build OpenROAD from a git repo (using nix develop .#openroad) would Cmake always fail after the first `cmake ..`
@donn donn changed the title Yosys Step Rewrite, Update nix-eda Add support for Hierarchical RTL Macro Placer Aug 26, 2024
@donn
Copy link
Member Author

donn commented Aug 26, 2024

EF_UART don't fail every time I slightly alter the flow challenge (impossible)

@openlane-bot
Copy link
Collaborator

Metric comparisons are in beta. Please report bugs under the issues tab.

To create this report yourself, grab the metrics artifact from the CI run, extract them, and invoke python3 -m openlane.common.metrics compare-remote current --branch dev --table-verbosity ALL --table-out ./tables_all.md.

  • Changes to critical metrics were detected in the following designs:
    • gf180mcuD/gf180mcu_fd_sc_mcu7t5v0/blink
    • gf180mcuD/gf180mcu_fd_sc_mcu7t5v0/usb_cdc_core
    • gf180mcuD/gf180mcu_fd_sc_mcu7t5v0/wbqspiflash
    • gf180mcuD/gf180mcu_fd_sc_mcu7t5v0/zipdiv
    • sky130A/sky130_fd_sc_hd/EF_PSRAM_CTRL_V2
    • sky130A/sky130_fd_sc_hd/EF_UART
    • sky130A/sky130_fd_sc_hd/MS_DMAC_AHBL
    • sky130A/sky130_fd_sc_hd/MS_SPI_XIP_CACHE

Full tables ► https://gist.github.com/openlane-bot/c8d67896bc01dd289c07ee9eef0c8346

Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
None yet
Projects
None yet
Development

Successfully merging this pull request may close these issues.

2 participants